Big Chemical Encyclopedia

Chemical substances, components, reactions, process design ...

Articles Figures Tables About

Colloidal silica CMP

Singh RK, Conner G, Roberts BR. Handling and filtration evaluation of a colloidal silica CMP slurry. Solid State Technol November 2004 47(ll) 61-66. [Pg.624]

Colloidal silica CMP of GaN and evaluation of the residual damaged layer... [Pg.195]

Details of colloidal silica CMP of GaN and evaluation of the damaged layer using cath-odoluminescence (CL) are described in this section. First, let us examine the change in the GaN substrate surface during CMP with the colloidal silica slurry. In the case of a... [Pg.195]

Commercially, only silica is used in oxide CMP slurries. The size of an abrasive particle is in the range of 500- 2000 A. There are two kinds of silica, fumed silica and colloidal silica. The fumed silica is formed by oxidizing... [Pg.140]

Fumed silica and colloidal slurry with and without filtration have been evaluated. All slurries are KOH-based. All slurries have pH values greater than 10. A same polisher was used, and a same pad was used. However, to separate the effect from post-CMP cleaning, neither scrubbing nor chemistry was applied. The oxide wafers were only water-rinsed after polishing. The results are shown in Fig. 11. The slurry with fumed silica left more particles compared to that with colloidal silica. [Pg.150]

Fig. 11. Evaluation of particle post-CMP performance for commercial oxide slurries with fumed and colloidal silica with and without point-of-use filtration. The filter size is 0.3 /im. The bottom denotes that the slurry used is from the bottom of the drum. Fig. 11. Evaluation of particle post-CMP performance for commercial oxide slurries with fumed and colloidal silica with and without point-of-use filtration. The filter size is 0.3 /im. The bottom denotes that the slurry used is from the bottom of the drum.
Chen P-L, Chen J-H, Tsai M-S, Dai B-T, Yeh C-F. Post-Cu CMP cleaning for colloidal silica abrasive removal. Microelectron Eng 2004 75 352-360. [Pg.24]

Bu K-H, Moudgil BM. Colloidal silica based high selectivity shallow trench isolation (STI) chemical mechanical polishing (CMP) slurry. Proceedings of MRS. Symposium W. Spring 2005. [Pg.245]

The 64k, 80 pm x 80pm sized tilting mirrors are built on the top of a CMOS-based control ASIC. In order to reduce the topography of the underlying metallization/passivation structures, a 2.5pm-thick PECVD oxide film is first deposited on the ASIC. An ILD oxide CMP step based on Klebosol 30N50 colloidal silica slurry is used for planarization. In order to connect the ASIC with the deflection electrodes above (see Fig. 14.10), vias have to be etched into the planarized dielectric film. Then, a copper metal stack including a TaN barrier has to be deposited and a two-step Cu damascene CMP process has to be performed. As this process is equivalent to Cu damascene in microelectronics fabrication, standard Cu CMP slurries can be used. [Pg.423]

During Cu CMP, wafer surfaces are exposed in two steps to at least two different slurries. During the first step, bulk Cu is removed at a high rate and polishing is stopped when the barrier layer is exposed or thin Cu layer is left. The remaining Cu and barrier layers are removed in the second step. The second-step slurry should have low selectivity between Cu and barrier ( 1 1) and yield minimum dishing and erosion. The most commonly used abrasives in Cu CMP slurries are silica (fumed and colloidal silica) and alumina (AI2O3)... [Pg.484]

The three most common abrasives for oxide CMP slurries are fumed silica, colloidal silica, and ceria. The advantage of fumed silica is that the purity of the... [Pg.513]

The scratching mechanism described above is very typical for oxide CMP. In order to minimize the scratching level, slurry manufacturers have explored various abrasive types and formulations. Using ultrahigh-quality colloidal silica with the right combination of chemical additives, it is possible to produce scratch-free slurries. With such a slurry, the dilute HF has no effect on the scratch count as shown in Fig. 17.7. [Pg.515]

There are many similarities between oxide CMP and poly-Si CMP. The main difference between the two processes is that poly-Si CMP slurries contain less abrasives and are, in general, more chemically active. Therefore, the poly-Si CMP process is by nature very sensitive to the polishing temperature. Temperature has a direct effect on removal rate, topography removal, and defect density (pitting and voids). Most poly-Si CMP slurries use colloidal silica that is less likely to form large aggregates than the fumed silica. [Pg.524]

The selection of slurry abrasives is one of the most important task in CMP process development. It will determine the removal rate and the level of defects such as particles and scratches. In this study various slurry particles and surfaces to be polished were chosen to measure their electrical properties in aqueous solutions. The harder particles, the greater the removal rates. Table 1 shows the hardness of materials of interest to CMP process. Among particles in Table 1, y-alumina, Ce02, Mn02, fumed and colloidal silica particles were used to measure their zeta potentials as a function of solution pH. [Pg.174]

Figure 2 shows the zeta potentials of wafer surfaces of interest to oxide and metal CMP. Aluminum surface showed its lEP at around pH 8.5 which was lower than that of alumina as shown in Figure 2(a). However, zeta potential of Al was very similar to that of alumina particles as a function of pH. TEOS wafer also showed a very similar zeta potentials to those of colloidal silica even though there was a slight shift to lower negative potentials. Also the zeta potential of bare silicon surface was measured at different pHs and the lEP was slightly larger than 3. Silicon surface has a zeta potential lower than -80 mV above pH 9. [Pg.175]

Figure 3.10 A linear correlation plot showing how the polish rates of a TaN wafer sample measured by CMP in different slurry solutions can he associated with the linear polarization resistance values of these systems. The soUd trace is a linear fit to the data denoted hy the circles. For the CMP measurements, each of the test solutions was mixed with 5 wt% Nexsd 35A colloidal silica abrasive particles of 35 nm average diameter. Figure 3.10 A linear correlation plot showing how the polish rates of a TaN wafer sample measured by CMP in different slurry solutions can he associated with the linear polarization resistance values of these systems. The soUd trace is a linear fit to the data denoted hy the circles. For the CMP measurements, each of the test solutions was mixed with 5 wt% Nexsd 35A colloidal silica abrasive particles of 35 nm average diameter.
The polish or removal rates (RRs) of SiC are strong functions of the crystalline orientation. For example, Chen et al. [6] polished 6H-SiC with different grades of diamond-based slurries and a high pH colloidal silica slurry and investigated the CMP of the Si-face (0001), the C-face (0001), the a-face(1120), and the m-face(llOO). A maximum in RRs was measured for the Si face, whereas a minimum RR was observed for the C face, and an intermediate RR for the a- and m-faces. The surface roughness was also... [Pg.169]

Fundamental studies on CMP w/ colloidal silica (Aida etal. [30-33]) ... [Pg.190]


See other pages where Colloidal silica CMP is mentioned: [Pg.201]    [Pg.520]    [Pg.201]    [Pg.520]    [Pg.24]    [Pg.416]    [Pg.485]    [Pg.178]    [Pg.82]    [Pg.130]    [Pg.165]    [Pg.168]    [Pg.19]    [Pg.102]    [Pg.123]    [Pg.123]    [Pg.170]    [Pg.190]    [Pg.191]    [Pg.191]    [Pg.192]    [Pg.192]    [Pg.193]    [Pg.194]    [Pg.194]    [Pg.213]    [Pg.274]    [Pg.274]    [Pg.436]    [Pg.50]   
See also in sourсe #XX -- [ Pg.195 , Pg.196 , Pg.197 , Pg.198 ]




SEARCH



Colloidal silica

Silica colloid

© 2024 chempedia.info